Welcome![Sign In][Sign Up]
Location:
Search - VHDL Motor DC

Search list

[Other resourcen_dc_motor

Description: vhdl实现的直流电机控制器 通用程序 对不同fpga/cpld,可能需要修改部分源代码。-VHDL achieved DC Motor Controller General of different procedures they simply / cpld. may need to amend some source code.
Platform: | Size: 2669 | Author: 刘挺 | Hits:

[VHDL-FPGA-Verilog直流电机控制器

Description: 直流电机控制器,属于精品vhdl源码,可在eda仿真工具上仿真实现-DC motor controller is excellent VHDL source code can be sown in simulation tools Simulation
Platform: | Size: 2048 | Author: 阎磊 | Hits:

[VHDL-FPGA-Verilogn_dc_motor

Description: vhdl实现的直流电机控制器 通用程序 对不同fpga/cpld,可能需要修改部分源代码。-VHDL achieved DC Motor Controller General of different procedures they simply/cpld. may need to amend some source code.
Platform: | Size: 2048 | Author: 刘挺 | Hits:

[VHDL-FPGA-Verilogdc_motor.vhd

Description: 用VHDL编写简单的直流电机控制方法.供大家参考.-use VHDL to prepare a simple DC motor control methods. For your reference.
Platform: | Size: 2048 | Author: lfy | Hits:

[Documentsdirect-moto_vhdl_quartusr

Description: 直流电机的程序,测速,可以应用, -DC Motor procedures, guns, can be applied,
Platform: | Size: 54272 | Author: 在路上 | Hits:

[VHDL-FPGA-VerilogPWM_DCmotorControl

Description: 基于VHDL的直流电机的PWM控制程序。-The VHDL-based DC motor PWM control procedures.
Platform: | Size: 193536 | Author: luoqianyou | Hits:

[VHDL-FPGA-Verilogbrushless_FPGA

Description: FPGA 在无刷直流电机控制中的应用,学习应用有参考价值-FPGA in the brushless DC motor control, learning the application of reference value
Platform: | Size: 102400 | Author: 运杨 | Hits:

[VHDL-FPGA-Verilogreverse

Description: vhdl实现对直流电机的控制 -VHDL realization of DC motor control
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilogcpld-pwm

Description: 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用-CPLD-based control design uses the pwm design vhdl.verilog language more useful for everyone
Platform: | Size: 79872 | Author: emily | Hits:

[VHDL-FPGA-Verilog61EDA_B307

Description: 步进电机/直流电机控制器 步进电机细分旋转,或不细分旋转 直流电机控制-Stepper Motor/DC Motor Controller Stepper Motor breakdown of rotation, with or without a breakdown rotation DC motor control
Platform: | Size: 116736 | Author: 李宁 | Hits:

[Otherzhi_liu_dian_ji_kong_zhi

Description: 直流电动机控制系统的FPGA的设计与实现。-DC Motor Control System Design and Implementation of FPGA.
Platform: | Size: 1432576 | Author: liujia | Hits:

[VHDL-FPGA-VerilogCPLD_Design_50

Description: CPLD实用设计50例,非常经典的CPLD设计,包含50个实际的典型应用,涉及直流电机PWM驱动,编码等内容,有了这50例,举一反三,就会了很多应用-50 cases of practical CPLD design, very classic CPLD design, including 50 typical practical applications, involving PWM DC motor driver, coding, etc., with these 50 cases, giving top priority will be a lot of applications
Platform: | Size: 7625728 | Author: 刘工 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 最高优先级编码器和直流电机控制器,供初学者学习使用,方便快捷,有很强的参考价值-The highest priority encoder and DC motor controller, for beginners learning to use, convenient and has a strong reference value
Platform: | Size: 3072 | Author: 张楚荀 | Hits:

[VHDL-FPGA-Verilogmoter

Description: VHDL写的PWM发生器,仿真通过,波形基本完美,可以用于直流电机的控制-PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
Platform: | Size: 897024 | Author: dansen | Hits:

[VHDL-FPGA-Verilogzhiliu_dianji

Description: 直流电机的VHDL源程序,经过编译和仿真.-DC motor VHDL source code, after compilation and simulation.
Platform: | Size: 818176 | Author: 何情 | Hits:

[VHDL-FPGA-VerilogVDHL

Description: Verilog的135个经典设计实例,直流电机控制,游戏机,三态总线,加法器,锁存器等-Verilog s 135 classic design example, DC motor control, video game consoles, three-state bus, adder, latches, etc.
Platform: | Size: 113664 | Author: 何柳 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路;  FPGA中正/反转方向控制电路 -PWM control is a certain cycle, a different duty cycle square wave signal, when the duty cycle is high, higher motor speed, or lower motor speed. When the PWM waveform generated using FPGA, the FPGA internal resources only can be achieved, the figure one comparator output termination setting, the other linear incremental counter output termination. When the value of linear counter output is less than low-level settings, when the counter output is greater than high settings, so that by changing the settings can produce different duty cycle square wave signal, DC motor control to achieve the purpose of speed. DC motor control circuit mainly by 2 parts, as shown in Figure 1:  FPGA in the PWM pulse width modulation signal generator circuit Chiang Kai-shek  FPGA/reverse direction control circuit
Platform: | Size: 37888 | Author: 袁玉佳 | Hits:

[VHDL-FPGA-Verilogdirect_moto

Description: 基于FPGA的直流电机驱动,有32级速度选择,正反转和使能端。在硬件测试通过,效果良好-FPGA-based DC motor drive, there are 32 speed options, positive inversion, and enable end. On the hardware test results were very good
Platform: | Size: 393216 | Author: wdw | Hits:

[VHDL-FPGA-VerilogPWM-DCMotor-Control-based-on-VHDL

Description: 基于VHDL的直流电机的PWM控制程序,用vhdl语言写的直流电机控制程序-PWM DC Motor Control Program based on the VHDL
Platform: | Size: 210944 | Author: | Hits:

[VHDL-FPGA-VerilogVHDL_PWM

Description: 基于VHDL的直流电机的PWM控制程序 PWM型DC/DC变换器控制方法-VHDL-based DC motor PWM control procedures PWM type DC/DC converter control
Platform: | Size: 203776 | Author: 李亚军 | Hits:
« 12 3 »

CodeBus www.codebus.net